iverilog+gtkwave的使用方法

首先要在testbench.v中增加以下内容:

 initial begin
   $dumpfile("dump.vcd");
   $dumpvars;
 end

在命令行中输入以下命令即可轻松查看波形:

 

 iverilog -o output_file_name testbench.v design.v

vvp output_file_name 

gtkwave dump.vcd



Comments

Popular posts from this blog

托福 TPO词汇题汇总

浮点数

缓存